La vitrine de diffusion des mémoires et thèses de l'ÉTS
RECHERCHER

Émulation et comparaison du mode test et du mode fonctionnel des circuits intégrés à horloges multiples

Téléchargements

Téléchargements par mois depuis la dernière année

Plus de statistiques...

Larche, Justine (2013). Émulation et comparaison du mode test et du mode fonctionnel des circuits intégrés à horloges multiples. Mémoire de maîtrise électronique, Montréal, École de technologie supérieure.

[thumbnail of LARCHE_Justine.pdf]
Prévisualisation
PDF
Télécharger (3MB) | Prévisualisation
[thumbnail of LARCHE_Justine-web.pdf]
Prévisualisation
PDF
Télécharger (2MB) | Prévisualisation

Résumé

Ce projet de maîtrise s’intéresse à la représentativité du test de balayage à vitesse nominale (SBAST : Scan based at-speed test) versus le mode fonctionnel en termes de délais et de bruit sur l’alimentation. Dans la littérature, les efforts déployés pour vérifier si le mode test est représentatif du mode fonctionnel ont porté presqu’exclusivement sur le mode test, le mode fonctionnel étant considéré comme un point de référence stable. À partir d’expérimentations préliminaires (Thibeault and Larche 2012), on a remarqué que le mode fonctionnel à multiples domaines d’horloge amène l’apparition de fluctuations indésirables appelées produits d’intermodulation (PIMs), jusqu’ici inexplorés dans ce contexte. Un des objectifs de cette recherche a donc porté sur l’étude de l’impact des PIMs sur les délais de propagation et sur la tension d’alimentation. Afin d’atteindre les objectifs de recherche, une plateforme expérimentale a été mise en place. Cette plateforme comprend un testeur et un circuit sous test (CUT). Du même coup, nous avons étudié l’impact de la présence d’un testeur dans le même dispositif que le CUT.

Les résultats obtenus démontrent que sous certaines limites fréquentielles, le test de balayage à vitesse nominale n’est pas représentatif du mode fonctionnel. Principalement parce que les PIMs présents dans le mode fonctionnel à multiples domaines d’horloge ne sont pas présents dans le mode test, car les horloges multiples ne sont pas distribuées dans ce mode. On conclue également que les PIMs présents dans le mode fonctionnel ont un impact sur les délais de propagation et sur la tension d’alimentation. Finalement, selon nos expérimentations, le testeur, qui génère l’horloge de test, a un impact sur le délai de propagation.

Résumé traduit

This thesis studies the representativeness of the scan based at-speed test (SBAST) versus the functional mode in terms of delays and supply voltage. In the literature, the efforts made to verify if the test mode is representative are related almost exclusively to the test mode itself, the functional mode being considered as a stable reference. From preliminary experiments (Thibeault and Larche 2012), we noticed that the functional mode with multiple clock domains brings undesirable fluctuations called intermodulation products (IMPs), up to now unexplored in this context. One of the objectives of this research is the study of the IMP effects on the propagation delays and on the supply voltage. In order to achieve the goals of the research, a test environment is proposed. This environment includes a tester and a circuit under test (CUT). At the same time, we studied the impact of the presence of a tester in the same device as the CUT.

The results obtained demonstrate that under certain frequency limits, the SBAST is not representative of the functional mode. This is mainly due to the IMPs in the functional mode with multiple clock domains that are not present in the test mode, because the clocks are not distributed during that mode. We also conclude that the IMPs in the functional mode have an impact on the propagation delays and on the supply voltage. Finally, according to our experiments, the tester, thus the test clock generation, has an impact on the propagation delay.

Type de document: Mémoire ou thèse (Mémoire de maîtrise électronique)
Renseignements supplémentaires: "Mémoire présenté à l'École de technologie supérieure comme exigence partielle à l'obtention de la maîtrise en génie électrique". Bibliographie : pages 137-140.
Mots-clés libres: Circuits intégrés Essais. Réseaux logiques programmables par l'utilisateur. alimentation, balayage, délai, fonctionnel, FPGA, horloge, intermodulation, mode, multiple, nominale, produit, propagation, tension, test, vitesse, mode fonctionnel
Directeur de mémoire/thèse:
Directeur de mémoire/thèse
Thibeault, Claude
Codirecteur:
Codirecteur
Savaria, Yvon
Programme: Maîtrise en ingénierie > Génie électrique
Date de dépôt: 01 avr. 2014 20:47
Dernière modification: 10 mars 2017 02:27
URI: https://espace.etsmtl.ca/id/eprint/1285

Gestion Actions (Identification requise)

Dernière vérification avant le dépôt Dernière vérification avant le dépôt