La vitrine de diffusion des mémoires et thèses de l'ÉTS
RECHERCHER

Integrating specification and test requirements as constraints in verification strategies for 2D and 3D analog and mixed signal designs

Téléchargements

Téléchargements par mois depuis la dernière année

Plus de statistiques...

Azizi, Najla (2019). Integrating specification and test requirements as constraints in verification strategies for 2D and 3D analog and mixed signal designs. Thèse de doctorat électronique, Montréal, École de technologie supérieure.

[thumbnail of AZIZI-Najla.pdf]
Prévisualisation
PDF
Télécharger (1MB) | Prévisualisation
[thumbnail of AZIZI-Najla-web.pdf]
Prévisualisation
PDF
Télécharger (1MB) | Prévisualisation

Résumé

Analog and Mixed Signal (AMS) designs are essential components of today’s modern Integrated Circuits (ICs) used in the interface between real world signals and the digital world. They present, however, significant verification challenges. Out-of-specification failures in these systems have steadily increased, and have reached record highs in recent years. Increasing design complexity, incomplete/wrong specifications (responsible for 47% of all non functional ICs) as well as additional challenges faced when testing these systems are obvious reasons. A particular example is the escalating impact of realistic test conditions with respect to physical (interface between the device under test (DUT) and the test instruments, input-signal conditions, input impedance, etc.), functional (noise, jitter) and environmental (temperature) constraints. Unfortunately, the impact of such constraints could result in a significant loss of performance and design failure even if the design itself was flawless. Current industrial verification methodologies, each addressing specific verification challenges, have been shown to be useful for detecting and eliminating design failures. Nevertheless, decreases in first pass silicon success rates illustrate the lack of cohesive, efficient techniques to allow a predictable verification process that leads to the highest possible confidence in the correctness of AMS designs. In this PhD thesis, we propose a constraint-driven verification methodology for monitoring specifications of AMS designs. The methodology is based on the early insertion of test(s) associated with each design specification. It exploits specific constraints introduced by these planned tests as well as by the specifications themselves, as they are extracted and used during the verification process, thus reducing the risk of costly errors caused by incomplete, ambiguous or missing details in the specification documents. To fully analyze the impact of these constraints on the overall AMS design behavior, we developed a two-phase algorithm that automatically integrates them into the AMS design behavioral model and performs the specifications monitoring in a Matlab simulation environment. The effectiveness of this methodology is demonstrated for two-dimensional (2D) and three-dimensional (3D) ICs. Our results show that our approach can predict out-of-specification failures, corner cases that were not covered using previous verification methodologies. On one hand, we show that specifications satisfied without specification and test-related constraints have failed in the presence of these additional constraints. On the other hand, we show that some specifications may degrade or even cannot be verified without adding specific specification and test-related constraints.

Titre traduit

Intégration des exigences liées aux specifications et aux tests sous forme de contraintes dans les strategies de vérification des circuits analogiques et mixtes 2D et 3D

Résumé traduit

Les circuits analogiques et mixtes sont aujourd’hui omniprésents dans les circuits intégrés modernes. Ils sont principalement utilisés dans l’interface entre les signaux du monde réel et le monde numérique. Face à la complexité croissante de ces circuits, plusieurs défis se presentment lors de leur phase de vérification. Selon des études, le nombre de circuits défectueux est en constante croissance et a atteint son maximum au cours des dernières années. Parmi ceux qui échouent 47% sont défectueux en raison de spécifications incorrectes ou incomplètes. Les contraintes imposées par le test sont aussi mises en cause. Ces dernières peuvent être de type physique (ceci inclut les signaux nécessaires pour l’interface avec l’appareillage de test, les impedances d’entrée, etc.) ou environnementales (tension, température, etc.). Malheureusement, le fait de ne pas considérer ces contraintes peut faire en sorte que les circuits soient moins performants ou même défectueux. Actuellement, de nombreuses techniques de vérification sont proposées afin d’obtenir des circuits intégrés parfaitement conformes aux spécifications du premier coup. Toutefois, le nombre très élevé de circuits défectueux et qui requièrent (au moins) une nouvelle itération de design montre bien le besoin de nouvelles techniques plus efficaces et fort rigoureuses. Dans cette thèse, nous proposons une méthodologie de vérification systématique des circuits analogiques et mixtes permettant de rendre plus complètes et cohérentes les spécifications. La méthodologie préconisée est basée sur l’insertion hâtive des tests associés à chacune des spécifications. Elle consiste à exploiter les contraintes introduites par les tests et les spécifications elles-mêmes afin de mieux les expliciter et les documenter réduisant ainsi le nombre de circuits défectueux en raison de spécifications incorrectes ou incomplètes. Ceci est effectué en faisant l’extraction de ces contraintes et en les appliquant lors de la phase de vérification. Afin de permettre une analyse plus complète et systématique de ces contraintes et de déterminer leurs impacts sur le comportement des circuits analogiques et mixtes nous avons développé un algorithme à deux phases. Lors de la première phase, l’algorithme effectue l’intégration automatique des contraintes dans le modèle comportemental du circuit. Lors de la deuxième phase, il fait la vérification des spécifications dans un environnement de simulation sous Matlab. Appliquée aux circuits intégrés bidimensionnels (2D) et tridimensionnels (3D), l’approche proposée a permis de détecter des spécifications non rencontrées ou encore qui n’ont pu être adéquatement vérifiées en raison des contraintes liées aux spécifications et/ou aux tests.

Type de document: Mémoire ou thèse (Thèse de doctorat électronique)
Renseignements supplémentaires: "Thesis presented to École de technologie supérieure in partial fulfillment for the degree of doctor of philosophy". Comprend des références bibliographiques (pages 97-110).
Mots-clés libres: vérification des circuits analogiques et mixtes, circuits intégrés 2D/ 3D, contraintes associées aux spécifications et aux tests
Directeur de mémoire/thèse:
Directeur de mémoire/thèse
Thibeault, Claude
Codirecteur:
Codirecteur
Ait Mohamed, Otmane
Programme: Doctorat en génie > Génie
Date de dépôt: 24 avr. 2019 18:19
Dernière modification: 24 avr. 2019 18:19
URI: https://espace.etsmtl.ca/id/eprint/2289

Gestion Actions (Identification requise)

Dernière vérification avant le dépôt Dernière vérification avant le dépôt